Create a Synchronous RAM with the following block diagram given below: Where • In_clk : timing for data to be written • write : write control signal • Data_in : 8 bit data to be stored • Address : 4 bit address location • Out_clk : timing for data to be read • read : read control signal • Data_out : 8 bit data to be read Question Simulate the RAM using the following timing parameters: End time : 1.0 ms Grid size : 50.0 us In_clk : count every 5.0 us Out_clk : count every 20.0 us From the simulate please, • Generate and simulate the VHDL codes in Altera Quartus II. • Observe and provide your observation and conclusion.

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question

Create a Synchronous RAM with the following block diagram given below:

Where
• In_clk : timing for data to be written
• write : write control signal
• Data_in : 8 bit data to be stored
• Address : 4 bit address location
• Out_clk : timing for data to be read
• read : read control signal
• Data_out : 8 bit data to be read

Question

Simulate the RAM using the following timing parameters:
End time : 1.0 ms
Grid size : 50.0 us
In_clk : count every 5.0 us
Out_clk : count every 20.0 us

From the simulate please,

• Generate and simulate the VHDL codes in Altera Quartus II.
• Observe and provide your observation and conclusion. 

In_clk
write
to
8
Data_in
Data_out
Address
Out_clk
read
Figure 3.2: Block diagram of a dual clock RAM
Transcribed Image Text:In_clk write to 8 Data_in Data_out Address Out_clk read Figure 3.2: Block diagram of a dual clock RAM
Expert Solution
steps

Step by step

Solved in 3 steps with 1 images

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY